{verilog} es un lenguaje de descripción de hardware (HDL) ampliamente usado para diseñar y documentar distintos tipos de sistemas electrónicos. Para preguntas específicas acerca de lenguajes de descripción de hardware use la etiqueta {hdl}. Una etiqueta relacionada con {verilog} es {system-verilog}, para preguntas específicas de SystemVerilog.

Verilog es un lenguaje de descripción de hardware (HDL, Hardware Description Language) ampliamente usado para diseñar y documentar distintos tipos de sistemas electrónicos.

Verilog permite el modelado de sistemas electrónicos en varios niveles de abstracción, con una sintaxis similar al lenguaje de programación C. Es comúnmente usado para el diseño de circuitos digitales en el nivel de abstracción de transferencia de registros (RTL, register-transfer level) y en la verificación de circuitos analógicos y de señal mixta.

Verilog es un estándar de la IEEE numerado IEEE 1364, que ha tenido tres versiones desde 1995: Verilog-95, Verilog 2001 y Verilog 2005 (estándar IEEE 1364-2005, actual).

Existe un estándar que combina un lenguaje de descripción de hardware y un lenguaje de verificación de hardware desarrollado entorno a Verilog, denominado SystemVerilog.

Para consultas respecto a este sistema use la etiqueta {system-verilog}.

Para consultas respecto a lenguajes de descripción de hardware en general use la etiqueta {hdl}.