Preguntas con la etiqueta [vhdl]

VHDL (también conocido como VHSIC-HDL) es un lenguaje de descripción de hardware que permite describir sistemas digitales y usado también para la automatización de diseño electrónico.

11 preguntas con respuestas sin votos o no aceptadas
Filtrar por
Ordenado por
Etiquetadas con
3 votos
0 respuestas
673 vistas

Problema para sumar señales en VHDL

Estaba trabajando en una práctica que me encargaron en la escuela, en VHDL, el entorno es el ISE 14.7 y el kit es un Spartan 3E. La práctica se trata de un pequeño pseudo-procesador. De hecho, está ...
Avatar del usuario menymp
  • 31
1 voto
0 respuestas
34 vistas

Error Expected , or ;, but got WHEN en VHDL

Hola soy algo nuevo en VHDL, se me pidió hacer un registro pipo de 4 bits cuyo resultado pasaba a un deco de 4 bits, el pipo(flipflop D => Qn == Qn) y el deco por separado funcionan, pero cuando ...
Avatar del usuario Hey L1nk
  • 357
1 voto
1 respuesta
57 vistas

error VHDL Synth 8-27 else clause after check for clock not supported VHDL

Me da error en el circuito siguiente al intentar hacerlo en VHDL según las siguientes especificaciones: Cuando la entrada init valga ‘1’ el registro cargará el valor del dividendo. Cuando baje la ...
Avatar del usuario María
  • 37
1 voto
0 respuestas
376 vistas

VHDL: Problema con contadores en sistema de semaforo de 4 vias

Tengo un problema con los tiempos/contadores de un sistema de control para crucero con 4 semáforos, el primer ciclo lo hace de manera correcta y esperada pero al repetir el ciclo (cambiar de s1 a s2) ...
Avatar del usuario Luis De Lira
0 votos
0 respuestas
19 vistas

Error en variable de Salida en VHDL

Estoy trabajando en un proyecto para hacer un sémaforo asíncrónico programado en VHDL utilizando Quartus II y una FPGA Cyclone IV Al momento de compilar mi código me marca 2 errores y lanza el mensaje ...
Avatar del usuario akaay
  • 1
0 votos
1 respuesta
40 vistas

# Executing ONERROR command at macro ./ram8.do line 3

Estoy tratando de hacer una memoria RAM de 8 bits en Quartus 20.1.1 lite pero al querer ejecutar la simulacion de señales me sale este error: # ** Error: c:/intelfpga_lite/20.1/modelsim_ase/win32aloem/...
Avatar del usuario Jahzeel
0 votos
1 respuesta
19 vistas

Quartus: VHDL error process

Tengo el siguiente codigo library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity top is port ( b,a: in std_logic_vector (1 downto 0); ...
Avatar del usuario Bar Hector
0 votos
1 respuesta
53 vistas

Error (10381) de VHDL

estoy haciendo un trabajo y no para de salirme Error (10381): VHDL Type Mismatch error at Trabajo_3.vhd(20): indexed name returns a value whose type does not match "std_logic_vector", the ...
Avatar del usuario María
  • 37
0 votos
1 respuesta
192 vistas

Guardar el valor de dos señales VHDL

quiero guardar el valor de dos señales de salida en funcion de como llega un dato de entrada. Me explico: si el dato de entrada en su bit mas significativo tiene un '1' entonces se deberá guardar el ...
Avatar del usuario Aldo Lechuga
0 votos
1 respuesta
51 vistas

warning: "Signal <C> is used but never assigned"

me salio esto en ISE cuando realizaba mi programa: Signal <C> is used but never assigned y ya busque en varios foros y no entiendo muy bien el porque. Quería ver si me podrían ayudar a entender ...
Avatar del usuario Daniel Gonzaléz Martínez
0 votos
1 respuesta
58 vistas

¿Como puedo hacer que este cpdigo funcione?

Este codigo esta hecho en vdhl y es para hacer un divisor de frecuencia en el programa de Modelsim, sin embargo tiene un error que no le permite correr bien al programa, deteniendose inevitablemente. ...
Avatar del usuario t0t3m
  • 1