0

quiero guardar el valor de dos señales de salida en funcion de como llega un dato de entrada. Me explico: si el dato de entrada en su bit mas significativo tiene un '1' entonces se deberá guardar el valor en la señal de salida SPO2, si tiene un '0' entonces en HR. Sin emabrgo cuando intento implmentarlo se cambian ambos valores de las señales. Alguna idea de como puedo resolver esto? dejo mi codigo.

    library IEEE;
    use IEEE.std_logic_1164.all;
    use IEEE.std_logic_arith.all;
    use IEEE.std_logic_unsigned.all;
    
    entity MyRAM is 
        generic(n: integer:= 8); 
        port(rst: in std_logic; 
              clk: in std_logic;
              Dir: in std_logic; 
              Dato: in std_logic_vector(n-1 downto 0); 
              OUT1: out std_logic_vector(n-1 downto 0); 
              OUT2: out std_logic_vector(n-1 downto 0));
    end entity; 
    
    architecture descp of myRAM is
    
    
    type RAM is array(1 downto 0) of std_logic_vector(n-1 downto 0);
    signal Qp, Qn: RAM; 
    
    begin
    
    
        seq:process(rst, clk, Qn)
        begin
            if rst = '0' then
                Qp(0) <= (others =>'0');
                Qp(1) <= (others =>'0');
            elsif clk'event and clk = '1' then  
                Qp <= Qn; 
            end if; 
        end process; 
        
        comb:process(dir, dato)
        begin
            if dir = '1' then 
                Qn(0) <= dato; 
            else 
                Qn(1) <= dato; 
            end if; 
        end process; 
        OUT2 <= Qp(1); 
        OUT1 <= Qp(0); 
    
    end architecture; 

1 respuesta 1

0

Por lo que veo estas intentando implementar una RAM, sin embargo para ello deberías tener una señal de ENABLE, mas otras de control para saber si debes leer o escribir. Te comparto una plantilla de como deberías implementar una RAM con capacidad de almacenar tres datos de 3 bits.

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity t15Ram is
port(AddrWr  : in std_logic_vector (1 downto 0);
      AddrRd  : in std_logic_vector (1 downto 0);
      clk        : in std_logic;
      clkOut: out std_logic;
      WrEN   : in std_logic;
      dataIn  : in std_logic_vector (2 downto 0);
      dataOut : out std_logic_vector (2 downto 0);
      ledcarga: out std_logic:='0'
);
end entity;

architecture arq of t15Ram is
    type matrix is array (0 to 3) of std_logic_vector (2 downto 0);
    signal      memory : matrix;
    signal   dataInBuf : std_logic_vector(2 downto 0);
    signal AddressWrite : std_logic_vector(1 downto 0);
    signal  AddressRead : std_logic_vector(1 downto 0);

begin
    clkOut <= clk;
    ledcarga <= WrEn;
    --Acceso de escritura
    process (clk) begin
        if(rising_edge(clk) and WrEn='1') then
                dataInBuf <= dataIn;
                AddressWrite <= AddrWr;
                memory(to_integer(unsigned(AddressWrite))) <= dataInbuf;
                --ledcarga <='1'; 
        end if;
    end process;

    process(clk) begin
        if(rising_edge(clk))then
            AddressRead <= AddrRd;
            dataOut <= memory(to_integer(unsigned(AddressRead)));
        end if;
    end process;
 end architecture;

Tu Respuesta

By clicking “Publica tu respuesta”, you agree to our terms of service and acknowledge you have read our privacy policy.

¿No es la respuesta que buscas? Examina otras preguntas con la etiqueta o formula tu propia pregunta.