0

Este codigo esta hecho en vdhl y es para hacer un divisor de frecuencia en el programa de Modelsim, sin embargo tiene un error que no le permite correr bien al programa, deteniendose inevitablemente. El programa compila bien, pero no hace nada. Se supone que al momento de ver la onda que genera el programa la señal entrante de 50mhz se deberia de dividir hasta una señal de 100 khz. Pero el programa no hace nada. Y quisiera ver que esta mal y como podria solucionarlo.

´´´

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.al`introducir el código aquí`l;
use IEEE.std_logic_unsigned.all;

entity divide is
port (
    clk50mhz : in bit;
    clk100khz : out bit
);
end divide;

architecture vhdl of divide is
begin 
    process (clk50mhz)
    variable divider : integer range 0 to 50;
    begin
        if (clk50mhz'event and clk50mhz='1') then 
            if divider = 1 then
                divider := 50;
            else 
                divider := divider -1;
            end if;
        end if;
        if divider = 1 then 
            clk100khz <= '1';
        else`introducir el código aquí`
            clk100khz <= '0';
        end if;
    end process;
end vhdl;

´´´

4
  • Mira Cómo preguntar para que tu pregunta sea mejor recibida. También, aprovecha y haz el recorrido de bienvenida para entender mejor cómo funcionamos y de paso obtener tu primera medalla!
    – gbianchi
    el 7 jul. 2020 a las 18:57
  • Hay un if mal formado, si ves tú propio código no queda definido bien. El modelsim tiene algún log o algo?
    – Jakala
    el 7 jul. 2020 a las 19:36
  • No que yo sepa. ¿Como puedo formularlo bien? La verdad no se usar bien multisim es un programa nuevo para mi, pero me pidieron este trabajo
    – t0t3m
    el 7 jul. 2020 a las 19:39
  • Estoy mirando con esta aplicación para verificar vdhl: vhdltool.com/tryit. Revisalo porque da unos cuantos errores
    – Jakala
    el 7 jul. 2020 a las 19:48

1 respuesta 1

0

El problema está en que el rango del contador es muy corto. Este debe ir a hasta 50M. De ahí tienes que aplicar una regla de tres. Por ejemplo para un divisor de señal que funcione a un segundo se necesitan contar 25M de esos 50M pulsos del reloj. Por lo que para realizar un reloj que funcione a 100K necesitas la siguiente conversión

(1/100E3)(25E6)=250

Tu variable divider debería tener capacidad para contar hasta 250 para tener un reloj de 100K.

1

Tu Respuesta

By clicking “Publica tu respuesta”, you agree to our terms of service and acknowledge you have read our privacy policy.

¿No es la respuesta que buscas? Examina otras preguntas con la etiqueta o formula tu propia pregunta.