37

Intento diseñar un sumador completo de n operandos de entrada, cada uno con precisión bitDepth, he escrito el siguiente módulo en Verilog:

module nInputAdder
    #(parameter n = 2,
      parameter bitDepth = 1)
    (input  [n * bitDepth - 1:0] IN,
     output reg [bitDepth - 1:0] OUT,
     output reg C);

     integer i;
     reg [bitDepth - 1:0] SUM;
     reg Ci;

     always @(*) begin
        SUM = {(bitDepth){1'b0}}; //Inicialmente cero
        Ci = 1'b0;
        for (i = 1; i <= n; i = i + 1)
            {Ci, SUM} = SUM + IN[bitDepth*i - 1:bitDepth*(i-1)] + Ci;
        OUT = SUM;
        C = Ci;
     end

endmodule //nInputAdder

Sin embargo, este código no funciona. El compilador, cuando se instancia el módulo en un testBench, arroja cuatro errores del tipo Part select expressions must be constant en la línea de actualización de suma:

InputAdder.v:29: error: Part select expressions must be constant.
nInputAdder.v:29: : This lsb expression violates the rule: (bitDepth)*((i)-('sd1))

nInputAdder.v:29: error: Part select expressions must be constant.
nInputAdder.v:29: : This msb expression violates the rule: ((bitDepth)*(i))-('sd1)

nInputAdder.v:29: error: Part select expressions must be constant.
nInputAdder.v:29: : This lsb expression violates the rule: (bitDepth)*((i)-('sd1))

nInputAdder.v:29: error: Part select expressions must be constant.
nInputAdder.v:29: : This msb expression violates the rule: ((bitDepth)*(i))-('sd1)

Actualmente estoy aprendiendo Verilog y tengo ciertas dudas con lo que se debe hacer al diseñar este módulo o similares:

  • ¿Qué puedo hacer para solucionar el error? ¿Qué está indicando el error? (Agradecería una buena explicación)
  • ¿Hay una manera adecuada para describir módulos genéricos de n entradas, sin tener que usar una única entrada que abarque todos los bits necesitados?
  • ¿Es correcto intentar hacer la suma de las n entradas en un for como el utilizado?

Acá los módulos de prueba:

module testBench;
    parameter n = 4;
    parameter bitDepth = 4;
    wire [n * bitDepth - 1:0] IN = 0;
    wire [bitDepth - 1:0] OUT;
    wire C;

    // Conectar modulos
    nInputAdder#(n, bitDepth) adder(IN, OUT, C);
    test#(n, bitDepth) testAdder(IN, OUT, C);

endmodule //testBench

module test
    #(parameter n = 2,
      parameter bitDepth = 1)
    (output reg [n * bitDepth - 1:0] IN = 0,
     input [bitDepth - 1:0] OUT,
     input C);

     initial begin
        $dumpfile("test.vcd");
        $dumpvars(0,test);
        #200 $finish;
     end

     always #10 IN = IN - bitDepth/2;
endmodule //test

Para compilar el testBench uso el siguiente script de Bash:

#!/bin/bash

rm testBench test.vcd
iverilog -o testBench nInputAdder.v
./testBench
gtkwave test.vcd

Software necesario: iVerilog y GTKWave para visualizar las trazas generadas, si la compilación es exitosa.

2
  • 8
    No te falta un parentesis por las dudas #(parameter n = 2 el 16 may. 2017 a las 18:14
  • 7
    Saludos hermano, por curiosidad,¿Lograste solucionarlo?
    – fredyfx
    el 12 jul. 2018 a las 21:18

1 respuesta 1

2

¿Qué está indicando el error? (Agradecería una buena explicación)

Verilog es un lenguaje de simulación digital; solo puede simular lo que el hardware real puede hacer. Esa es la primera cosa a tener en mente.

Cuando escribes

{Ci, SUM} = SUM + IN[bitDepth*i - 1:bitDepth*(i-1)] + Ci;

estas pensando en un lenguaje de alto nivel, que se encarga de los detalles tediosos, como realizar los cálculos para obtener el indice de IN. Eso no lo puede hacer el hardware pues no tiene las capacidades para evaluar expresiones.

En cada pasada por always sólo puedes hacer una operación con cada elemento del hardware. Una iteración implica a lo menos cambiar varias veces el valor de i y SUM, por lo que ese código no funciona (no es sintetizable). El for sirve para controlar la simulación, no para modelar el circuito.

¿Hay una manera adecuada para describir módulos genéricos de n entradas, sin tener que usar una única entrada que abarque todos los bits necesitados?

En términos prácticos, no.

En general, cuando quieres elementos de largo variable, lo construyes a partir del elemento de largo fijo. En este caso, puedes tener un sumador de ocho bits. Poniendolos en paralelo puedes tener sumadores de 16, 24, 32, ... bits.

¿Es correcto intentar hacer la suma de las n entradas en un for como el utilizado?

No. El for controla la simulación, no el circuito.

Digamos que necesitas generar una entrada que conmuta a intervalos regulares 10 veces. En el testbench puedes escribir

btn = ~btn;
#1
btn = ~btn;
#1
btn = ~btn;
...
...
...
btn = ~btn;

lo que es tedioso e induce a errores.

En su lugar puedes usar un for para decir lo mismo en forma más compacta:

integer i;

...

for (i = 0; i < 10; i++) begin
    #1
    btn = ~btn;
    end

Tu Respuesta

By clicking “Publica tu respuesta”, you agree to our terms of service and acknowledge you have read our privacy policy.

¿No es la respuesta que buscas? Examina otras preguntas con la etiqueta o formula tu propia pregunta.